Skip to:

MRFN Member Login
Program Application

Shared Materials Instrumentation Facility (SMIF)

Shared Materials Instrumentation Facility (SMIF) includes advanced characterization facilities and clean rooms for analysis and fabrication of advanced materials and devices. In the Fall of 2012, a Small Angle X-Ray Scattering (SAXS) Instrument will be added to the facility.

Facility Type: 

Instruments

  1. Bake Oven

    A sturdy, reach-in laboratory oven capable of reaching 260C. Digital, single setpoint controller with LED display simultaneously displays actual temperature and setpoint.

  2. DC Sputter System

    The PVD 75 DC sputter system features a modular design for deposition of a variety of metallic materials. The system has manual controls allowing for a wide range of processing options. A crystal monitor provides the option of measuring film thinkness and depotision rate during the process if desired. Up to 3 separate films can be deposited sequentially.

  3. Digital Hot Plate

    The Tec-Vac PRC-2000 is a compact hot-plate unit for consistent curing and baking of a broad range of photoresist materials and substrate sizes.

  4. Digital Instruments Scanning Probe Microscope

    The scanning probe microscope (SPM) produces high resolution, three dimensional images by scanning a sharp tip over the sample surface. The name “scanning probe microscopy” (SPM) summarizes various measurement techniques such as atomic force microscopy (AFM), electric force microscopy (EFM). The Dimension 3100 brings together all of the major SPM techniques in a single platform that handles a wide range of sample types and sizes, up to eight inches in diameter, in air or fluids.

  5. Dry Oxidation

    Dry oxidation (950C-1050C) in Oxygen.

  6. E-beam & Thermal Metal Evaporator

    Used for E-beam and thermal evaporation of metal films.

  7. E-Beam Lithography System

    The ELS-7500EX is capable of producing ultra fine features down to a 10nm linewidth. It offers a Zr/W thermal field emission electron gun with a maximum 50Kev accelerating voltage and minimum beam diameter of 2nm. It has a Windows based CAD and GUI layout, with a conversion capability for previously generated CAD files. The Laser interferometer stage and 18 bit DAC beam positioning system provide excellent stitching and writing capabilities. The various stage options allow for patterning of substrate sizes ranging from small pieces (1cm) up to wafers that are 6" in diameter.

  8. E-Beam Metal Evaporator

    The CHA Solution electron beam (E-Beam) evaporator process development system is a small-scale vacuum deposition system designed for small lot processing and engineered to handle a wide range of deposition needs. Its fully water cooled stainless steel chamber allows for flexibility in optimizing process start temperatures. Included in this system is CHA’s SmartSource electron beam gun with motor driven crucible rotation and lift lid. Neodymium magnets are used in the SmartSource gun for strength that is not compromised by repeated gun cleanings and turret changes.

  9. Ellipsometer

    The Rudolph AutoEl III Ellipsometer offers fully automated, push buttom operation and substrate mapping capabilites. It can measure thickness and index of refraction of single layer and double layer transparent films. It can also measure the optical constants of bare substrates such as silicon, gallium arsenide, and gallium arsenide phosphide with direct readout of N and K.

  10. Film Thickness Measurement System

    The Nanometrics 210 is a computerized film thickness measurement system that employs the principle of optical interferometry. It includes a spectrophotometer head which can measure in the wavelength range of 370 to 800 nm using a computer-controlled grating monochromator, photomultiplier tube detector, and amplifier. The amplifier output is converted to a digital signal by the computer, which then calculates film thickness with one of several algorithms based on interference patterns.

  11. Flip Chip Bonder

    The Suss FC150 Flip Chip Bonder is a precision instrument used to align and bond one or more chips on a substrate using either solder reflow or thermo-compression techniques. The FC150 can acheive 1um placement accuracy.

  12. Fluorescence Microscope with Camera
  13. Frontside/Backside Mask Aligner

    The MA6 Mask Aligner is designed for high-resolution photolithography in a laboratory environment. It also offers Backside Align capability with automated image capture and computer display optics. All operating controls are laid out in a simple, ergonomic design, making the operation of the aligner easy to learn and providing the versatility needed in specialized processes. Optics are on screen, with no eyepiece

  14. Glove Box

    The MBraun Labmaster 130 is a modular glovebox with a gas purification system and vacuum pump that removes H20 and O2. It is PLC controlled with a Siemens color touch panel. Inert working gasses that are plumbed into the system are: N2, CO2, and Forming Gas (3%H2/97%N2).

  15. High Temperature Anneal N-type doping

    High Temperature Annealing (750C-1050C) in Nitrogen used for N-type doped substrates.

  16. Hydrogen anneal

    Annealing in Hydrogen, Nitrogen, or vacuum environments

  17. III-V Reactive Ion Etcher

    The Trion Minilock II reactive ion etcher (RIE) is designed for either isotropic or anisotropic dry etching of compound III-V semiconductors and metals using chlorine and bromine based chemistries (BCl3, Cl2, HBr, SiCl4, CH4, H2, CF4, O2, Ar). It has a compact modular design on a space-saving rollaway platform. Included in the system is an integrated vacuum loadlock to meet all of the safety and equipment needs for the more challenging etch processes that require corrosive chemistries. Also, it can handle processing of multiple sized substrates or pieces up to 8 inches in diameter.

  18. Kratos X-Ray Photoelectron Spectrometer

    XPS is a powerful technique for the detection of variations in chemical composition and oxidation state. Subtle changes in peak positions and shape can yield important information on changes in surface chemistry. The AXIS Ultra provides a high energy resolution capability for both conductive and insulating samples through the incorporation of the Kratos patented charge neutralization system.

  19. LabRam Raman/PL Microscope

    The Horiba Jobin Yvon LabRam ARAMIS is a fully automated Raman microscope. Raman spectroscopy provides highly specific and non-destructive information on molecular vibrations. There is no need for sample preparation - the sample is simply placed under the microscope optics and measured. This system is also equipped with a Photoluminescence detection package.

  20. Low Temp Anneal and High Temp Anneal for P-type doping

    Low Temperature Annealing (400C-600C) in Nitrogen or Forming Gas and High Temp Annealing (up to 1050C) in Nitrogen of P-type substrates

  21. Manual Microscope with Camera
  22. Mask Aligner

    The MJB3 Mask Aligner is designed for high-resolution photolithography in a laboratory environment. It offers flexibility in the handling of irregularly shaped substrates of differing thicknesses, as well as standard size wafers up to 3” diameter. All operating controls are laid out in a simple, ergonomic design, making the operation of the aligner easy to learn and providing the versatility needed in specialized processes.

  23. Nicolet FT-IR Spectrometer

    The Nicolet 8700 is Thermo Electron's premier research FT-IR spectrometer. It provides superior performance and advanced scanning capabilities, including step-scan spectroscopy. Step-scan spectroscopy eliminates Fourier modulation interference encountered in conventional, continuous-scan FT-IR. It also allows measurements to be made as an explicit function of time, phase, or space.

    Measurements can be made in a transmission configuration, or a "Smart Performer" accessory can be used for Attenuated Total Reflection (ATR) measurements.

  24. Nomarski Microsope with Camera
  25. Oxide/Nitride/Polymer Reactive Ion Etcher

    The Trion Phantom II reactive ion etcher (RIE) is designed for either isotropic or anisotropic dry etching of silicon dioxide, silicon nitride and other materials using fluorine and oxygen based chemistries (CF4, CHF3, SF6, O2). It has a compact modular design on a space-saving rollaway platform. It has an open chamber design allowing for easy loading and processing of multiple sized substrates or pieces up to 8 inches in diameter. A 600 watt 13.56MHz inductively coupled plasma (ICP) generator and 170 liter/sec turbo pump allow for a large process window for many applications.

  26. PDMS Oven

    A low temperature non-exhausted oven for PDMS and similar materials baking and curing. No toxic chemicals are allowed in this oven.

  27. Plasma Asher

    The plasma process is accomplished through the use of a low pressure RF induced gaseous discharge. The specimen is loaded into the reaction chamber and the chamber is evacuated to a vacuum pressure of 0.1 - 0.2Torr. A carrier gas is then introduced into the chamber, raising the chamber pressure to 0.3 - 1.2Torr, depending on the application. RF power is applied around the chamber. This excites the carrier gas molecules and dissociates it into chemically active atoms and molecules. The mechanism employed in this process is one of ionization.

  28. Plasma Enhanced Chemical Vapor Deposition System

    Used for PECVD deposition of Oxide, Nitride, and Oxynitride films.

  29. Probe Station

    The probe station consists of the following components:

    -Micromanipulator 6000 Probe Station
    -Signatone S-302-4 Four Point Resistivity Probe Station
    -Signatone S926 Micropositioners and tip holders (4)
    -Keithley 4200-SCS Parametric Analyzer
    -Agilent 4284A LCR Meter

  30. Profilometer

    The Dektak150 is an advanced surface texture measuring system which accurately measures surface texture below submicro-inch and film thickness to 1000μm. Measurements are made electromechanically by moving the sample beneath a diamond-tipped stylus according to a user-programmed scan length, speed and stylus force. As the stylus moves across the sample, surface variations cause the it to be translated vertically. This corresponds to an electrical signal that gives a feedback of the appropriate step height.

  31. Rapid Thermal Anneal System

    The Jipelec JetFirst rapid thermal annealing (RTA) system is a bench top system designed for R&D applications. The temperature measurement and control system provides accurate and repeatable thermal control across the temperature range. Annealing can be performed in air, vacuum, N2, or forming gas (N2/H2).

    The system is configured to process substrates up to 100mm in diameter. Smaller substrates and pieces can easily be processed by placing them on a silicon wafer.

  32. RF Dielectric Sputter System

    The PVD 75 RF sputter system features a modular design for deposition of a variety of dielectric materials. The system has manual controls allowing for a wide range of processing options. An optical monitor provides the option for deposition monitoring of optical films at multiple wavelengths in the VIS or IR spectrums. Up to 3 separate films can be deposited sequentially.

  33. SAXS/WAXS/GISAXS

    The SAXS is here!  We recently got support from the NSF-MRI program for the purchase of Small Angle X-Ray Scattering (SAXS) equipment. The equipment will serve the greater Research Triangle community and will be housed in Duke's Shared Materials Instrumentation Facility (SMIF). Two, complementary instruments are on order: a slit-collimated (so called Kratky camera) from Anton Paar, and a point collimated instrument from SAXSlab. Due to the slit-collimation, the Anton Paar instrument has very high flux at the sample and will be primarily used for scattering from solutions.

  34. Silicon Deep Reactive Ion Etcher
  35. Vacuum Bake Oven

    The Fisher 282A Vacuum Bake Oven provides a high integrity vacuum chamber with N2 ambient and purge capability. The maximum temperature is 280C. The system can be run manually or programmed with the seven day programmable timer.

  36. X-Ray Diffractometer

    The X'Pert PRO diffraction system utilizes a modular system approach to provide no-compromise performance for applications ranging from routine characterization to in-depth research investigations. The PreFIX (Pre-aligned Fast-Interchangeable X-ray optics) concept enables the diffraction system to be reconfigured in a few minutes without the need for re-alignment to handle different types of analysis. A suite of data analysis software from Philips is available.