Skip to:

MRFN Member Login
Program Application

The Nanoscale Fabrication Center (NFC)

The Nanoscale Fabrication Center (NFC) at the University of Wisconsin-Madison provides a research facility for microfabrication technologies, products and innovations. In order to give students a state-of-the-art education and to maintain leading-edge research programs, we continue to improve this advanced laboratory.

NFC maintains a suite of semiconductor and microfabrication processing equipment in a cleanroom laboratory. Access to the lab and to all equipment is available to qualified users from the University of Wisconsin, other education institutions, or industry. WCAM is a cost recovery facility.

The laboratory is located on the third floor of the Engineering Centers Building on the University of Wisconsin-Madison campus. Click here for a searchable UW campus map, or return to the NFC home page for more contact information.

For use, questions or more information, please contact the DIrector of MRSEC facilities:

Dr. Jerry Hunter (jerry.hunter@wisc.edu)

(608) 263-1073

Instruments

  1. Four-Point Probe

    Measurement of sheet resistance on a surface. Range: 0.001 to 1000 ohm-cm

  2. Aligner for EV 801 Bonder

    Back side alignment system for EV 801 bonder. Resolution to 2 microns.

  3. Aluminum Wedge Bonder

    Makes electrical connections by ultrasonically bonding 75-micron Al wire. Manual operation.

  4. Anneal Oven

    Nitrogen/vacuum polyimide film anneal. Temp to 300 C.

  5. Anneal Oven

    Nitrogen/vacuum polyimide film anneal. Temp to 300 C.

  6. Automegasamdri 915B Critical Point Dryer

    Dries samples without surface tension distortions, by replacing liquid on sample with liquid CO2, then passing through CO2's supercritical region to change it from liquid to gas without crossing a phase boundary.

  7. Buffered Oxide Etch Chemical Bench

    Process tanks with filtered 6:1 and 15:1 BOE solutions; cascade rinse tank; sink; wafer and piece holders.

  8. Chemical Bench

    Phosphoric acid process tank at 170 C for stripping silicon nitride; quick-dump rinser (QDR); wafer and piece holder.

  9. Chemical Bench ("DAE Bench")

    Wet aluminum etch at 40 C, in commercial Defreckling Aluminum Etchant (DAE) solution. Bench also has a quick-dump rinser (QDR), hotplate, and sink. Wafer and piece holders provided.

  10. Chemical bench (Prefurnace Clean)

    Flow hood and chemical bench for preparing substrates before thermal processing, for example in the LPCVD or oxidation furnaces. Four process tanks: Piranha bath; ammonia / hydrogen peroxide; hydrofluoric acid / hydrogen peroxide; and hydrofluoric acid.

  11. Chemical bench for teaching

    Flow hood for handling corrosive substances, including acids and bases. No solvents are permitted at this bench. Two hotplates, three DI sinks, DI sprayer, N2 blowoff guns, and solution aspirator.

  12. Chemical bench for teaching

    Flow hood for handling solvents, including acetone and alcohol. No corrosives are permitted at this bench. Two hotplates, DI sink, DI sprayer, and N2 blowoff gun. Users may request permission to heat solvents at this bench.

  13. Contact Aligner / IR Back Side Aligner

    Manually-operated mask aligner and exposure tool. Substrates: 3", 4", or 6" wafers; pieces of wafers possible. Masks: 4", 5", or 7". Alignment to top or bottom face of substrate. Exposure modes: Proximity; soft, hard, or vacuum contact. 350 W UV source. Submicron resolution possible.

  14. Contact Aligner / IR Back Side Aligner

    Manual mask aligner and exposure tool.)

  15. Contact Aligner, 3" wafers;

    Mask aligner & UV exposure tool. Automated handling of 3" wafers. Manual alignment. 4" masks. Used by UW microfabrication classes.

  16. Contact Aligners for 4-inch Wafers

    The 3? Canon aligner automatically feeds 3-inch wafers into the wafer chuck. A pre-alignment is performed by the system. It uses a standard 4? mask.

  17. DC Sputterer

    Deposition of metal by magnetron sputtering, onto many samples at once, in vacuum.

  18. Dicing Saws

    Programmable high-speed blade cuts substrates into dies. Blades available for silicon, glass, quartz, and gallium arsenide.

  19. Die Attacher

    Attaches IC dies to packaging using a film of eutectic alloy. Temps up to 500 C.

  20. E-beam Evaporator

    Deposition of metal by e-beam evaporation, onto substrates in vacuum. Real-time film thickness monitoring.

  21. E-Beam Evaporator

    Deposition of metal by e-beam evaporation, onto substrates in vacuum. Real-time film thickness monitoring; rotation sample mount dome; substrate heater.

  22. E-beam Evaporator

    Deposition of dielectric materials by e-beam evaporation, onto substrates in vacuum. Real-time film thickness monitoring.

  23. Elionix ELS-G100 Electron Beam Lithography System

    The Elionix ELS_G100 Electron Beam Lithography system is capable of running at 100kV continuously. The standard configuration also includes kV steps of 25kV, 50kV and 75kV. 6nm resolution can be achieved in a 30nm thick layer of electron beam resist. The system is configured with a laser interferometer stage. (XY laser interferometer; 0.3nm resolution) Z: 5mm (Laser height sensor; 0.1um resolution). Field Stitching precision is ≤15nm and Layer-to-Layer Overlay accuracy is <20nm for a 100µm x 100µm write field. The range of beam current is from 20pA to 100nA.

  24. Fiji G2 Atomic Layer Deposition System

    The Fiji tool creates thin films via atomic layer deposition, which is a type of chemical vapor deposition.

    • ALD system with 6 precursor lines.
    • 200mm chuck capable of heating to 300 deg. C
    • Plasma generator for N2, O2 and H2
    • Ozone generator.
    • ALD Booster System
    • LVPD system
    • Materials available: Aluminum (Trimethylaluminum), Titanium (Tetrakis(dimethylamido)titanium(IV)), Niobium (Tris(diethylamido)(tert-butylimido)niobium(V)), Hafnium (Tetrakis(dimethylamido)hafnium(IV)), Silicon (Bis(diethylamido)silane)
  25. Film Stress Measurement

    Computes stress in deposited films by measuring deformation of the substrate

  26. Filmetrics

    Noncontact film thickness measurement for polymers, some dielectrics, and thin metals

  27. Forming Gas Metal Anneal Furnace

    Furnace tube with microprocessor-controlled temperature profiles and gas flows. Recipes available for nitrogen or forming gass anneal. Wafer diameter to 4". Some metals are permitted in this furnace.

  28. Furnace Tube 1

    Annealling in forming gas or nitrogen, 600 to 1050 C.)

  29. Furnace Tube 3

    Wet or dry oxidation, 380 to 500 C. Also annealing. Reserved for compound semiconductors.

  30. Furnace Tube 4

    Annealing at 380 to 500 C, in nitrogen or forming gas.

  31. Furnace Tube 6

    Annealing at 600 to 1200 C, in nitrogen or forming gas.

  32. General-Use Steam Oxidation Furnace Tube 2

    Wet or dry oxidation, 600 to 1050 C. Also annealing.

  33. Gold Ball Bonder

    Makes electrical connections by ultrasonically bonding Au wire. Heated holders for several package types; manual and semiautomatic operation modes.

  34. Heidelberg DWL 66+ laser writer lithography system

    Nitrogen/vacuum polyimide film anneal. Temp to 300 C.

    • Diode laser compatible with standard 365 nm UV photoresists
    • Standard write mode can pattern features down to one micron
    • High resolution write mode can pattern features down to 300 nm lines and spaces, in sufficiently thin resist
    • Accepts substrates of any shape (round or square preferred)
    • Accepts transparent or opaque substrates
    • Accepts substrates as small as 5 mm x 5 mm (larger substrates preferred)
    • Automatic focus via optical or pneumatic systems
  35. high-density plasma RIE

    High density inductively-coupled plasma RIE. Accepts 100 mm wafers on electrostatic chuck.

  36. III-V Semiconductor Chemical Bench

    Acid and base chemical processing, in beakers in hotplate wells. Two hotplates; two sinks; deionized water sprayer; solution aspirator; timers.

  37. Indium Evaporator

    Deposition of indium by thermal evaporation onto substrates in vacuum

  38. Lithography Ovens

    Ovens for baking many lithography wafers at once.

  39. Low-Temperature Oxide Furnace

    Dry-oxide LPCVD at 450 C in O2 and SiH4; PH3 may be added as dopant.

  40. Metal Etcher

    Selective removal of material by inductively-coupled plasma (ICP) RIE. Primarily intended for etching metals.

  41. Non-Litho Spinner

    Substrate spinner with manual speed and time controls. For applications other than lithography.

  42. Optical microscope with Camera and PC

    High-magnification imaging; digital image capture; advanced image manipulation tools.

  43. Optical microscope with Camera and PC

    Low-mag, wide-field imaging; variable zoom; digital image capture; advanced image manipulation tools.

  44. Photoresist spincoater for teaching

    Chemical bench with hotplate and manually-controlled wafer spinner.

  45. Piranha Bench

    Heathed process tank for removing organic material from substrates in Piranha (sulfuric acid and hydrogen peroxide0 solution; quick-dump rinser (QDR); hotplate; sink; timer.

  46. PLA-501 Contact Aligner;

    Mask aligner & UV exposure tool. Automated handling of 3" wafers. Manual alignment. 4" masks. Used by UW microfabrication classes.

  47. Plasma Asher & Stripper

    O2/AR Etch Gases Available. Cleans and strips organic material from wafers, and modifies surface energy, in preparation for later processing steps

  48. Plasma Therm Apex SLR ICP plasma etching system

    The ICP III-V Plasma Etcher system is equipped with a load-lock to transport wafers into the etch chamber while keeping the chamber under vacuum.  The system has a 2MHz, 1000W inductively coupled coil used to generate and control the plasma density.  The substrate is independently biased using a 13.56MHz, 600W power supply.  The system computer controls the transfer of wafers between the load lock and the process chamber, the vacuum pumping cycles, and the process parameters.  Th

  49. PlasmaTherm

    Deposition of SiN or SiO2 onto hot substrates, by plasma-enhanced chemical vapor deposition

  50. Polysilicon LPCVD Furnace

    Polysilicon LPCVD in SiH4; PH3 may be added as dopant.

  51. Probe Station

    Wafer probe station that can be fitted with either high powered optics for probing small geometries or lower powered optics for probing bonding pads and larger geometries.

  52. Programmable Photoresist Spinner #2 - SU8

    Chemical bench with wafer spinner and vacuum hotplate, dedicated for coating and baking substrates epoxy photoresists such as SU8. Preprogrammed spin speed recipes.

  53. Programmable Photoresist Spinners #1 and #3 - General Use

    Chemical benches with wafer spinners and vacuum hotplates, for coating and baking substrates with non-epoxy photoresists (e.g., SC1827). Preprogrammed spin speed recipes.

  54. Programmable Polymer Oven

    Convection oven with nitrogen flow. Programmable temperature profiles. Temp to 425 C.

  55. Programmable Polymer Oven

    Convection oven with nitrogen flow. Programmable temperature profiles. Temp to 425 C.

  56. Projection Litho Exposure Stepper

    Non-contact Projection Lithogaphy. Configured for 150mm Wafers 5 inch reticle size. resolution 0.5 um NA: 0.5 illumination: i-line reduction ratio: 1 to 5 field size: 20 x 20 or 15.9 x 25.2 alignment accuracy 0.11 lxl plus 3 sigma

  57. Rapid Thermal Annealer

    Programmable heating rates of 1 to 200 C per second, up to 800 C, for 4" samples in nitrogen or forming gas.

  58. Reactive-Ion Etcher

    Selective removal of material by RF plasma.

  59. RF/DC Sputterer

    Deposition of metals and dielectrics by RF and DC sputtering, onto substrates in vacuum. Four independent cathodes allow cosputtering or alternation of layers. Substrates can be heated.

  60. Silicon Deep RIE

    Reactive ion etcher. Plasma is inductively coupled, low density, and low pressure. Ion energies are low. Tool can alternate cycles of etching and passivation. Result is good anisotropy in very deep (25o microns or greater) Si etch trenches.

  61. Silicon Wet Etch Bench

    Separate tanks for etching Si in hot KOH or TMAH at 80 C; cascade rinser; control timer.

  62. Solvent Bench

    General-use flow hood for solvents. Includes heated PR stripping tanks for cleaning wafers and masks. Do liftoff here.

  63. Solvent Benches

    Two hotplates; ultrasonic tank; quick-dump rinser (QDR); sink; timers. This is a good place to do liftoff.

  64. Spin-Rinse Dryer

    Touchless drying of 4" wafers

  65. Spin-Rinse Dryer

    Touchless drying of 4" wafers

  66. Spin-Rinse Dryer

    Touchless drying of 3" or 4" wafers, after prefurnace clean

  67. Spin-Rinse Dryer (SRD)

    Touchless rinsing and drying of 3" and 4" wafers.

  68. SU8 Solvent Bench

    Flow hood for SU8 photoresist processing. Includes two programmable hotplates.

  69. Surface Profilometer (3 units)

    Step height measurement via stylus contact;

  70. Thermal Anneal Furnace for Metals ("Aluminum Anneal Tube")

    Furnace tube with microprocessor-controlled temperature profiles and gas flows. Recipes available for nitrogen or forming gass anneal. Wafer diameter to 4". Some metals are permitted in this furnace.

  71. Unaxis 790 RIE

    Selective removal of material by reactive ion etching. Photoresist is allowed as a mask in this tool.

  72. UV-Ozone Stripper/Cleaner

    Cleans and strips organic material from wafers, and modifies surface energy, in preparation for later processing steps

  73. Wafer Bonder

    Wafer-to-wafer anodid bonding for MEMS fabrication. 2", 3", or 4" wafers. Temp to 550 C; force to 7,000 N; voltage to 1,200 V. Includes cleaning station.

  74. Wafer Scribe

    Programmable diamond-tipped scriber; aids breaking samples up to 100 mm into smaller dies

  75. Wet/Dry Oxidation Furnace

    Wet or dry oxidation, or annealing, at a range of temperatures.

  76. Wet/Dry Oxidation Tube, Classroom Bay

    UV light table for flood exposure of samples.